Model { Name "test" Version 7.4 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.15" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-949" SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" MaxMDLFileLineLength 120 Created "Wed Apr 07 04:24:34 2010" Creator "PinkWink" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "PinkWink" ModifiedDateFormat "%" LastModifiedDate "Wed Apr 07 10:38:32 2010" RTWModifiedTimeStamp 192515846 ModelVersionFormat "1.%" ConfigurationManager "None" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.6.0" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 2 Version "1.6.0" StartTime "0.0" StopTime "22" AbsTol "auto" FixedStep "0.02" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" Solver "ode3" SolverName "ode3" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 3 Version "1.6.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Version "1.6.0" Array { Type "Cell" Dimension 7 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off EnforceIntegerDowncast on ExpressionFolding on BooleansAsBitfields off EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off } Simulink.DebuggingCC { $ObjectID 5 Version "1.6.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" StrictBusMsg "Warning" LoggingUnavailableSignals "error" BlockIODiagnostic "none" } Simulink.HardwareCC { $ObjectID 6 Version "1.6.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.6.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.SFSimCC { $ObjectID 8 Version "1.6.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 9 Version "1.6.0" Array { Type "Cell" Dimension 6 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" PropName "DisabledProps" } SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off RTWCompilerOptimization "Off" CheckMdlBeforeBuild "Off" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 10 Version "1.6.0" Array { Type "Cell" Dimension 19 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 11 Version "1.6.0" Array { Type "Cell" Dimension 17 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" TargetFunctionLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } hdlcoderui.hdlcc { $ObjectID 12 Version "1.6.0" Description "HDL Coder custom configuration component" Name "HDL Coder" Array { Type "Cell" Dimension 1 Cell "" PropName "HDLConfigFile" } HDLCActiveTab "0" } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition " [ 243, 69, 1123, 699 ] " } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } BlockParameterDefaults { Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeMode "Inherit from 'Constant value'" OutDataType "fixdt(1,16,0)" ConRadixGroup "Use specified scaling" OutScaling "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" } Block { BlockType Demux Outputs "4" DisplayOption "none" BusSelectionMode off } Block { BlockType Fcn Expr "sin(u[1])" SampleTime "-1" } Block { BlockType FromWorkspace VariableName "simulink_input" SampleTime "-1" Interpolate on ZeroCross off OutputAfterFinalValue "Extrapolation" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParameterDataTypeMode "Same as input" ParameterDataType "fixdt(1,16,0)" ParameterScalingMode "Best Precision: Matrix-wise" ParameterScaling "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeMode "Same as input" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType MATLABFcn MATLABFcn "sin" OutputDimensions "-1" OutputSignalType "auto" Output1D on SampleTime "-1" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: auto" LockScale off SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeMode "Same as first input" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Terminator } } System { Name "test" Location [1481, 189, 2239, 653] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark 27 Block { BlockType Constant Name "Constant1" SID 16 Position [500, 325, 530, 355] ShowName off Value "cart" OutDataType "fixdt(1, 16)" OutScaling "2^0" } Block { BlockType Constant Name "Constant2" SID 17 Position [500, 365, 530, 395] ShowName off Value "pole" OutDataType "fixdt(1, 16)" OutScaling "2^0" } Block { BlockType Fcn Name "Fcn" SID 6 Position [195, 140, 255, 170] Expr "(-0.0102*u[2]-9.8*u[3]-0.01*u[4]+1.02*u[5])/6.012" } Block { BlockType Fcn Name "Fcn1" SID 7 Position [195, 245, 255, 275] Expr "(-0.01*u[2]-58.8*u[3]-0.06*u[4]+u[5])/6.012" } Block { BlockType Gain Name "Gain" SID 10 Position [605, 245, 635, 275] Gain "-180/pi" ParameterDataTypeMode "Inherit via internal rule" ParameterDataType "fixdt(1, 16)" ParameterScaling "2^0" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeMode "Inherit via internal rule" OutDataType "fixdt(1, 16)" OutScaling "2^0" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain1" SID 19 Position [295, 355, 325, 385] BlockMirror on NamePlacement "alternate" Gain "-K" Multiplication "Matrix(K*u)" ParameterDataTypeMode "Inherit via internal rule" ParameterDataType "fixdt(1, 16)" ParameterScaling "2^0" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeMode "Inherit via internal rule" OutDataType "fixdt(1, 16)" OutScaling "2^0" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Integrator Name "Integrator" SID 1 Ports [1, 1] Position [340, 140, 370, 170] } Block { BlockType Integrator Name "Integrator1" SID 2 Ports [1, 1] Position [460, 140, 490, 170] } Block { BlockType Integrator Name "Integrator2" SID 3 Ports [1, 1] Position [340, 245, 370, 275] } Block { BlockType Integrator Name "Integrator3" SID 4 Ports [1, 1] Position [480, 245, 510, 275] } Block { BlockType MATLABFcn Name "MATLAB Fcn" SID 15 Ports [1, 1] Position [605, 335, 665, 365] MATLABFcn "test1(u(1), -u(2), u(3), u(4))" } Block { BlockType Mux Name "Mux" SID 5 Ports [5, 1] Position [110, 154, 115, 256] ShowName off Inputs "5" DisplayOption "bar" } Block { BlockType Mux Name "Mux1" SID 12 Ports [4, 1] Position [580, 328, 585, 372] ShowName off DisplayOption "bar" } Block { BlockType Mux Name "Mux2" SID 20 Ports [4, 1] Position [360, 316, 365, 419] BlockMirror on NamePlacement "alternate" ShowName off DisplayOption "bar" } Block { BlockType Scope Name "Scope" SID 8 Ports [1] Position [675, 174, 705, 206] Floating off Location [188, 390, 512, 629] Open on NumInputPorts "1" List { ListType AxesTitles axes1 "%" } YMin "0.72" YMax "0.9" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Scope Name "Scope1" SID 9 Ports [1] Position [675, 244, 705, 276] Floating off Location [529, 390, 853, 629] Open on NumInputPorts "1" List { ListType AxesTitles axes1 "%" } SaveName "ScopeData1" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Scope Name "Scope2" SID 23 Ports [1] Position [160, 309, 190, 341] Floating off Location [187, 76, 511, 315] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } YMin "-6" YMax "-4" SaveName "ScopeData2" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType SubSystem Name "Signal Builder" SID 24 Tag "STV Subsys" Ports [0, 1] Position [480, 24, 555, 56] LibraryVersion "1.762" CopyFcn "sigbuilder_block('copy');" DeleteFcn "sigbuilder_block('delete');" LoadFcn "sigbuilder_block('load');" ModelCloseFcn "sigbuilder_block('modelClose');" PreSaveFcn "sigbuilder_block('preSave');" StartFcn "sigbuilder_block('start');" StopFcn "sigbuilder_block('stop');" NameChangeFcn "sigbuilder_block('namechange');" ClipboardFcn "sigbuilder_block('clipboard');" OpenFcn "sigbuilder_block('open',[429.75 84 548.25 399 ]);" CloseFcn "sigbuilder_block('close');" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents on MaskType "Sigbuilder block" MaskDescription "Sigbuilder GUI" MaskInitialization "if ~strcmp(get_param(bdroot(gcbh),'SimulationStatus'),'stopped') tuvar = sigbuilder_blo" "ck('maskInit'); end" MaskDisplay "plot(0,0,100,100,[10,10,40,40,10],[80,20,20,80,80],[40,10],[50,50], [40,27,10],[65,72,56],[40" ",25,25,10],[28,28,43,43]);" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "port" MaskPortRotate "default" MaskIconUnits "autoscale" MaskCapabilities "slmaskedcaps(gcbh)" System { Name "Signal Builder" Location [480, 85, 980, 386] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark 1 Block { BlockType Demux Name "Demux" SID 25 Tag "STV Demux" Ports [1, 1] Position [150, 34, 160, 606] Outputs "1" } Block { BlockType FromWorkspace Name "FromWs" SID 26 Tag "STV FromWs" Position [30, 300, 115, 350] VariableName "tuvar" SampleTime "0" ZeroCross on SigBuilderData "DataTag0" VnvData "DataTag1" } Block { BlockType Outport Name "Signal 1" SID 27 Tag "STV Outport" Position [280, 30, 300, 40] IconDisplay "Port number" OutDataType "fixdt(1, 16)" OutScaling "2^0" } Line { SrcBlock "Demux" SrcPort 1 Points [50, 0; 0, -285] DstBlock "Signal 1" DstPort 1 } Line { SrcBlock "FromWs" SrcPort 1 DstBlock "Demux" DstPort 1 } } } Block { BlockType Sum Name "Sum" SID 21 Ports [2, 1] Position [570, 145, 590, 165] ShowName off IconShape "round" Inputs "-+|" InputSameDT off OutDataTypeMode "Inherit via internal rule" OutDataType "fixdt(1, 16)" OutScaling "2^0" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Terminator Name "Terminator" SID 14 Position [705, 340, 725, 360] } Line { SrcBlock "Mux" SrcPort 1 Points [35, 0] Branch { Points [0, -55] DstBlock "Fcn" DstPort 1 } Branch { Points [0, 50] DstBlock "Fcn1" DstPort 1 } } Line { SrcBlock "Fcn" SrcPort 1 DstBlock "Integrator" DstPort 1 } Line { SrcBlock "Integrator" SrcPort 1 Points [50, 0] Branch { DstBlock "Integrator1" DstPort 1 } Branch { Points [0, -60; -350, 0; 0, 90] DstBlock "Mux" DstPort 2 } Branch { Points [0, 200] DstBlock "Mux2" DstPort 2 } } Line { SrcBlock "Fcn1" SrcPort 1 DstBlock "Integrator2" DstPort 1 } Line { SrcBlock "Integrator2" SrcPort 1 Points [60, 0] Branch { DstBlock "Integrator3" DstPort 1 } Branch { Points [0, -190; -380, 0; 0, 155] DstBlock "Mux" DstPort 4 } Branch { Points [0, 145] DstBlock "Mux2" DstPort 4 } } Line { SrcBlock "Integrator3" SrcPort 1 Points [40, 0] Branch { DstBlock "Gain" DstPort 1 } Branch { Points [0, -180; -490, 0; 0, 125] DstBlock "Mux" DstPort 3 } Branch { Points [0, 85] Branch { DstBlock "Mux1" DstPort 2 } Branch { Points [0, 60; -80, 0; 0, -25] DstBlock "Mux2" DstPort 3 } } } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Scope1" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "MATLAB Fcn" DstPort 1 } Line { SrcBlock "MATLAB Fcn" SrcPort 1 DstBlock "Terminator" DstPort 1 } Line { SrcBlock "Constant1" SrcPort 1 Points [0, 15] DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Constant2" SrcPort 1 Points [0, -15] DstBlock "Mux1" DstPort 4 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "Gain1" DstPort 1 } Line { SrcBlock "Gain1" SrcPort 1 Points [-165, 0] Branch { Points [-65, 0; 0, -125] DstBlock "Mux" DstPort 5 } Branch { Points [0, -45] DstBlock "Scope2" DstPort 1 } } Line { SrcBlock "Integrator1" SrcPort 1 Points [30, 0] Branch { DstBlock "Sum" DstPort 2 } Branch { Points [0, 35] Branch { DstBlock "Scope" DstPort 1 } Branch { Points [0, 110; 25, 0; 0, 35] DstBlock "Mux1" DstPort 1 } } Branch { Points [0, -50; -435, 0; 0, 60] DstBlock "Mux" DstPort 1 } } Line { SrcBlock "Signal Builder" SrcPort 1 Points [20, 0] DstBlock "Sum" DstPort 1 } Line { SrcBlock "Sum" SrcPort 1 Points [40, 0; 0, 70; -95, 0; 0, 90; -160, 0] DstBlock "Mux2" DstPort 1 } Annotation { Name "Click Here" Position [657, 87] ForegroundColor "[0.000000, 0.000000, 0.500000]" DropShadow on ClickFcn "l = 5;\nr = 0.1;\n\nx_plane = [-10 -10 10 10];\ny_plane = [-0.6 -0.5 -0.5 -0.6];\nx_cart = [-1 " "-1 1 1];\ny_cart = [-0.5 0.5 0.5 -0.5];\nx_pole = [-r -r r r];\ny_pole = [-l 0 0 -l];\n\nplane = fill(x_plane, y" "_plane, 'k');\ngrid on\nhold on\ncart = fill(x_cart, y_cart, 'g','EraseMode','normal');\npole = fill(x_pole, y_p" "ole, 'b','EraseMode','normal');\nhold off\naxis([-10 10 -8 4]);" FontSize 20 } } } MatData { NumRecords 2 DataRecord { Tag DataTag1 Data " %)30 . R , 8 ( @ % \" $ ! 0 % 0 $0 $ #, =F5R:6" "9Y0FQK4&%T:', !V97)I9GE\";&M(86YD;&5S '9N=DUG 86-T:79E1W)O=7 !R97%$:7-P4')C;G0 &)L:T1" "I%1I;64 869T97)&:6YA;%-T<@!S86UP;&54:6UE 'IE'1R87!O;&%T90 #@ #@ & \" 8 " " !0 @ ! 0 $ \"0 @ X P !@ @ $ 4 ( 0 ( " " ! ! @!O;@ #@ ( ! & \" ( !0 @ ! 0 $ !0 $ X ! . &%C=&" "EV941I/]>C<#T*U_\\_ $ " " 0%*X'H7K40# *5R/PO4H , P \" >Q2N1^%ZA#][%*Y'X7J$/PX !( !@ @ - 4 ( !@" " $ ! 8 8 W0( ! 0 , ! #@ $@ & \" T !0 @ & 0 " " $ !@ !@ #= @ $ ! @ ( . V 0 8 ( 0 % \" 0 ! 0 " " . . 8 ( @ % \" $ 0 % 0 0 $ #@ $ ! & \" " " ( !0 @ ! 0 $ !0 $ D ! ) $YA;64 %A$871A %E$871A %5S97)$871A " " . , 8 ( ! % \" 0 0 X P !@ @ & 4" " ( ! D #@ # & \" 8 !0 @ $ \"0 " " . , 8 ( !@ % \" 0 ) X !H 0 !@ @ \" 4" " ( 0 $ ! 4 ! - 0 #0 !.86UE 4VEG;F%L